Model { Name "processor_asynchronous" Version 7.1 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.593" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" SaveDefaultBlockParams on SampleTimeColors off LibraryLinkDisplay "none" WideLines off ShowLineDimensions on ShowPortDataTypes on ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off Created "Tue Oct 21 19:50:07 2008" Creator "ysun" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "ysun" ModifiedDateFormat "%" LastModifiedDate "Tue Dec 09 14:53:33 2008" RTWModifiedTimeStamp 0 ModelVersionFormat "1.%" ConfigurationManager "None" SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.4.0" Array { Type "Handle" Dimension 7 Simulink.SolverCC { $ObjectID 2 Version "1.4.0" StartTime "0.0" StopTime "200" AbsTol "auto" FixedStep ".1" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" Solver "ode1" SolverName "ode1" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Non-adaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 3 Version "1.4.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveFormat "Array" SaveOutput on SaveState off SignalLogging on InspectSignalLogs off SaveTime on StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" OutputOption "RefineOutputTimes" OutputTimes "[]" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Array { Type "Cell" Dimension 5 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "InitFltsAndDblsToZero" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" PropName "DisabledProps" } Version "1.4.0" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off EnforceIntegerDowncast on ExpressionFolding on ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off OptimizeModelRefInitCode off LifeSpan "inf" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off } Simulink.DebuggingCC { $ObjectID 5 Version "1.4.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" ModelReferenceSimTargetVerbose off UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" StrictBusMsg "Warning" LoggingUnavailableSignals "error" BlockIODiagnostic "none" } Simulink.HardwareCC { $ObjectID 6 Version "1.4.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.4.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" ModelReferenceNumInstancesAllowed "Multi" ModelReferenceSigSizeVariationType "Always allowed" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 8 Array { Type "Cell" Dimension 6 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" PropName "DisabledProps" } Version "1.4.0" SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off RTWCompilerOptimization "Off" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 9 Array { Type "Cell" Dimension 16 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" PropName "DisabledProps" } Version "1.4.0" ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off SimulinkBlockComments on EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 10 Array { Type "Cell" Dimension 16 Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportNonFinite" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "PortableWordSizes" PropName "DisabledProps" } Version "1.4.0" TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" TargetFunctionLibrary "ANSI_C" UtilityFuncGeneration "Auto" GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on CompOptLevelCompliant on IncludeMdlTerminateFcn on CombineOutputUpdateFcns off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant off AutosarCompliant off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on } BlockParameterDefaults { Block { BlockType CombinatorialLogic TruthTable "[0 0;0 1;0 1;1 0;0 1;1 0;1 0;1 1]" SampleTime "-1" } Block { BlockType Demux Outputs "4" DisplayOption "none" BusSelectionMode off } Block { BlockType Inport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" OutMin "[]" OutMax "[]" DataType "auto" OutDataType "fixdt(1,16,0)" OutScaling "[]" OutDataTypeStr "Inherit: auto" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off Interpolate on } Block { BlockType Logic Operator "AND" Inputs "2" IconShape "rectangular" AllPortsSameDT on OutDataTypeMode "Logical (see Configuration Parameters: Optimization)" LogicDataType "uint(8)" OutDataTypeStr "Inherit: Logical (see Configuration Parameters: Optimization)" SampleTime "-1" } Block { BlockType Memory X0 "0" InheritSampleTime off LinearizeMemory off LinearizeAsDelay off StateMustResolveToSignalObject off RTWStateStorageClass "Auto" } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Outport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" OutMin "[]" OutMax "[]" DataType "auto" OutDataType "fixdt(1,16,0)" OutScaling "[]" OutDataTypeStr "Inherit: auto" SignalType "auto" SamplingMode "auto" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType "S-Function" FunctionName "system" SFunctionModules "''" PortCounts "[]" SFunctionDeploymentMode off } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" } Block { BlockType ToWorkspace VariableName "simulink_output" MaxDataPoints "1000" Decimation "1" SampleTime "0" FixptAsFi off } Block { BlockType Terminator } Block { BlockType TriggerPort TriggerType "rising" StatesWhenEnabling "inherit" ShowOutputPort off OutputDataType "auto" SampleTimeType "triggered" SampleTime "1" ZeroCross on } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeMode "Inherit from 'Constant value'" OutDataType "fixdt(1,16,0)" ConRadixGroup "Use specified scaling" OutScaling "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" SampleTime "inf" FramePeriod "inf" } } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } System { Name "processor_asynchronous" Location [513, 353, 1108, 812] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" Block { BlockType SubSystem Name "Control" Ports [1, 4] Position [160, 325, 265, 415] Orientation "up" ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('Control');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "Control" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "instr" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 440, 320, 480] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 22" Ports [1, 5] Position [180, 151, 230, 439] FunctionName "sf_sfun" PortCounts "[1 5]" EnableBusSupport on Port { PortNumber 2 Name "RegWr" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "RegDest" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 4 Name "ALUCtrl" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 5 Name "ALUSrc" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 451, 480, 469] } Block { BlockType Outport Name "RegWr" Position [460, 101, 480, 119] IconDisplay "Port number" } Block { BlockType Outport Name "RegDest" Position [460, 136, 480, 154] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "ALUCtrl" Position [460, 171, 480, 189] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "ALUSrc" Position [460, 206, 480, 224] Port "4" IconDisplay "Port number" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "instr" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "RegWr" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "RegWr" DstPort 1 } Line { Name "RegDest" Labels [0, 0] SrcBlock " SFunction " SrcPort 3 DstBlock "RegDest" DstPort 1 } Line { Name "ALUCtrl" Labels [0, 0] SrcBlock " SFunction " SrcPort 4 DstBlock "ALUCtrl" DstPort 1 } Line { Name "ALUSrc" Labels [0, 0] SrcBlock " SFunction " SrcPort 5 DstBlock "ALUSrc" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType SubSystem Name "DataFlow" Ports [8, 8] Position [161, 215, 364, 300] Orientation "up" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "DataFlow" Location [-156, 134, 1090, 906] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "RegWr" Position [890, 498, 920, 512] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Inport Name "RegDest" Position [825, 528, 855, 542] NamePlacement "alternate" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "ALUCtrl" Position [545, 173, 575, 187] NamePlacement "alternate" Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "ALUSrc" Position [560, 278, 590, 292] NamePlacement "alternate" Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "ifstart" Position [15, 58, 45, 72] NamePlacement "alternate" Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "rfstart" Position [170, 193, 200, 207] NamePlacement "alternate" Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "wrstart" Position [805, 578, 835, 592] NamePlacement "alternate" Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "exestart" Position [465, 328, 495, 342] NamePlacement "alternate" Port "8" IconDisplay "Port number" } Block { BlockType SubSystem Name "Execute" Ports [6, 2] Position [630, 161, 745, 364] ForegroundColor "magenta" NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Execute" Location [15, 324, 852, 816] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "ALUControl" Position [350, 38, 380, 52] IconDisplay "Port number" } Block { BlockType Inport Name "ReadData1" Position [350, 88, 380, 102] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "ReadData2" Position [220, 83, 250, 97] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "ALUSrc" Position [220, 193, 250, 207] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "instr" Position [15, 138, 45, 152] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "exestart" Position [25, 258, 55, 272] Port "6" IconDisplay "Port number" } Block { BlockType SubSystem Name "ALU" Ports [3, 2] Position [410, 16, 485, 174] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('ALU');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "ALU" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Control" Position [20, 101, 40, 119] IconDisplay "Port number" } Block { BlockType Inport Name "Ain" Position [20, 136, 40, 154] Port "2" IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Inport Name "Bin" Position [20, 171, 40, 189] Port "3" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 270, 320, 310] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 10" Ports [3, 3] Position [180, 121, 230, 269] FunctionName "sf_sfun" PortCounts "[3 3]" EnableBusSupport on Port { PortNumber 2 Name "ALUResultout" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "zero" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 281, 480, 299] } Block { BlockType Outport Name "ALUResultout" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Outport Name "zero" Position [460, 136, 480, 154] Port "2" IconDisplay "Port number" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "Control" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { SrcBlock "Ain" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { SrcBlock "Bin" SrcPort 1 DstBlock " SFunction " DstPort 3 } Line { Name "ALUResultout" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "ALUResultout" DstPort 1 } Line { Name "zero" Labels [0, 0] SrcBlock " SFunction " SrcPort 3 DstBlock "zero" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Reference Name "Integer Delay2" Ports [1, 1] Position [90, 253, 120, 277] NamePlacement "alternate" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0" samptime "-1" NumDelays "10" } Block { BlockType SubSystem Name "SignExtend" Ports [1, 1] Position [175, 127, 225, 163] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('SE');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "SignExtend" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "imm" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 180, 320, 220] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 2" Ports [1, 2] Position [180, 100, 230, 180] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "out" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 191, 480, 209] } Block { BlockType Outport Name "out" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "imm" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "out" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "out" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType SubSystem Name "mux1" Ports [3, 1] Position [265, 60, 320, 230] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('mux2');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "mux1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in0" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Inport Name "in1" Position [20, 136, 40, 154] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "control" Position [20, 171, 40, 189] Port "3" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 270, 320, 310] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 20" Ports [3, 2] Position [180, 108, 230, 272] FunctionName "sf_sfun" PortCounts "[3 2]" EnableBusSupport on Port { PortNumber 2 Name "out" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 281, 480, 299] } Block { BlockType Outport Name "out" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in0" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { SrcBlock "in1" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { SrcBlock "control" SrcPort 1 DstBlock " SFunction " DstPort 3 } Line { Name "out" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "out" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType SubSystem Name "seperate5" Ports [1, 1] Position [65, 130, 150, 160] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('seperate');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "seperate5" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "inst" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 370, 320, 410] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 3" Ports [1, 2] Position [180, 128, 230, 372] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "imm" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 381, 480, 399] } Block { BlockType Outport Name "imm" Position [460, 101, 480, 119] IconDisplay "Port number" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "inst" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "imm" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "imm" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "ALUResult" Position [520, 48, 550, 62] IconDisplay "Port number" } Block { BlockType Outport Name "exefin" Position [160, 258, 190, 272] Port "2" IconDisplay "Port number" } Line { SrcBlock "mux1" SrcPort 1 DstBlock "ALU" DstPort 3 } Line { SrcBlock "ReadData1" SrcPort 1 DstBlock "ALU" DstPort 2 } Line { SrcBlock "ALUControl" SrcPort 1 DstBlock "ALU" DstPort 1 } Line { SrcBlock "seperate5" SrcPort 1 DstBlock "SignExtend" DstPort 1 } Line { SrcBlock "ReadData2" SrcPort 1 DstBlock "mux1" DstPort 1 } Line { SrcBlock "SignExtend" SrcPort 1 DstBlock "mux1" DstPort 2 } Line { SrcBlock "ALUSrc" SrcPort 1 DstBlock "mux1" DstPort 3 } Line { SrcBlock "ALU" SrcPort 1 DstBlock "ALUResult" DstPort 1 } Line { SrcBlock "instr" SrcPort 1 DstBlock "seperate5" DstPort 1 } Line { SrcBlock "exestart" SrcPort 1 DstBlock "Integer Delay2" DstPort 1 } Line { SrcBlock "Integer Delay2" SrcPort 1 DstBlock "exefin" DstPort 1 } } } Block { BlockType SubSystem Name "InstructionFetchUnit1" Ports [1, 2] Position [90, 26, 145, 99] ForegroundColor "green" NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "InstructionFetchUnit1" Location [15, 277, 610, 748] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "ifstart" Position [93, 60, 107, 90] Orientation "down" IconDisplay "Port number" } Block { BlockType Constant Name "$1" Position [253, 175, 277, 190] Orientation "up" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType SubSystem Name "32ff" Ports [2, 1] Position [51, 175, 104, 220] Orientation "down" NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "32ff" Location [606, 82, 1260, 859] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "d" Position [170, 898, 200, 912] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [275, 123, 305, 137] Port "2" IconDisplay "Port number" } Block { BlockType Demux Name "Demux" Ports [1, 30] Position [255, 42, 270, 1763] ShowName off Outputs "30" DisplayOption "bar" } Block { BlockType Mux Name "Mux1" Ports [30, 1] Position [555, 35, 565, 1770] ShowName off Inputs "30" DisplayOption "bar" } Block { BlockType SubSystem Name "boo2int" Ports [1, 1] Position [595, 892, 670, 918] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('boo2int');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "boo2int" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "boo" Position [20, 101, 40, 119] IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 225, 320, 265] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 5" Ports [1, 2] Position [180, 118, 230, 227] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "int" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 236, 480, 254] } Block { BlockType Outport Name "int" Position [460, 101, 480, 119] IconDisplay "Port number" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "boo" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "int" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "int" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType SubSystem Name "flipflop" Ports [2, 1] Position [370, 81, 410, 114] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 8" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop1" Ports [2, 1] Position [370, 136, 410, 169] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop1" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 11" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop10" Ports [2, 1] Position [370, 636, 410, 669] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop10" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 18" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop11" Ports [2, 1] Position [370, 691, 410, 724] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop11" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 19" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop12" Ports [2, 1] Position [370, 751, 410, 784] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop12" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 21" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop13" Ports [2, 1] Position [370, 806, 410, 839] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop13" Location [7, 83, 1275, 845] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 23" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop14" Ports [2, 1] Position [370, 861, 410, 894] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop14" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 26" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop15" Ports [2, 1] Position [370, 916, 410, 949] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop15" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 27" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop16" Ports [2, 1] Position [370, 976, 410, 1009] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop16" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 30" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop17" Ports [2, 1] Position [370, 1031, 410, 1064] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop17" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 31" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop18" Ports [2, 1] Position [370, 1531, 410, 1564] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop18" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 32" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop19" Ports [2, 1] Position [370, 1586, 410, 1619] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop19" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 33" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop2" Ports [2, 1] Position [370, 186, 410, 219] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop2" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 12" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop20" Ports [2, 1] Position [370, 1646, 410, 1679] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop20" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 34" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop21" Ports [2, 1] Position [370, 1701, 410, 1734] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop21" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 35" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop24" Ports [2, 1] Position [370, 1086, 410, 1119] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop24" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 38" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop25" Ports [2, 1] Position [370, 1141, 410, 1174] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop25" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 39" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop26" Ports [2, 1] Position [370, 1201, 410, 1234] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop26" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 40" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop27" Ports [2, 1] Position [370, 1256, 410, 1289] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop27" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 41" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop28" Ports [2, 1] Position [370, 1311, 410, 1344] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop28" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 42" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop29" Ports [2, 1] Position [370, 1366, 410, 1399] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop29" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 43" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop3" Ports [2, 1] Position [370, 246, 410, 279] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop3" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 13" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop30" Ports [2, 1] Position [370, 1421, 410, 1454] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop30" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 44" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop31" Ports [2, 1] Position [370, 1476, 410, 1509] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop31" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 45" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop4" Ports [2, 1] Position [370, 306, 410, 339] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop4" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 14" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop5" Ports [2, 1] Position [370, 361, 410, 394] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop5" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 15" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop6" Ports [2, 1] Position [370, 416, 410, 449] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop6" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 16" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop7" Ports [2, 1] Position [370, 471, 410, 504] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop7" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 17" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop8" Ports [2, 1] Position [370, 526, 410, 559] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop8" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 28" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType SubSystem Name "flipflop9" Ports [2, 1] Position [370, 581, 410, 614] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "flipflop9" Location [2, 82, 1281, 854] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "D" Position [155, 33, 185, 47] IconDisplay "Port number" } Block { BlockType Inport Name "clk" Position [350, 58, 380, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "J-K\nFlip-Flop" Ports [3, 2] Position [405, 27, 450, 103] SourceBlock "simulink_extras/Flip Flops/J-K\nFlip-Flop" SourceType "JKFlipFlop" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" initial_condition "0" } Block { BlockType Logic Name "Logical\nOperator32" Ports [1, 1] Position [290, 74, 320, 106] Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType SubSystem Name "int2boo1" Ports [1, 1] Position [305, 25, 365, 55] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('int2boo');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "int2boo1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 29" Ports [1, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[1 2]" EnableBusSupport on Port { PortNumber 2 Name "boo" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "boo" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "boo" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "boo" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Q" Position [475, 38, 505, 52] IconDisplay "Port number" } Line { SrcBlock "D" SrcPort 1 Points [35, 0] Branch { DstBlock "int2boo1" DstPort 1 } Branch { Points [-10, 0; 0, 50] DstBlock "Logical\nOperator32" DstPort 1 } } Line { SrcBlock "Logical\nOperator32" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 3 } Line { SrcBlock "int2boo1" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 1 } Line { SrcBlock "J-K\nFlip-Flop" SrcPort 1 DstBlock "Q" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 DstBlock "J-K\nFlip-Flop" DstPort 2 } } } Block { BlockType Outport Name "q" Position [705, 898, 735, 912] IconDisplay "Port number" } Line { SrcBlock "Demux" SrcPort 1 Points [0, -15] DstBlock "flipflop" DstPort 1 } Line { SrcBlock "clk" SrcPort 1 Points [0, -25; 15, 0] Branch { DstBlock "flipflop" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop1" DstPort 2 } Branch { Points [0, 50] Branch { DstBlock "flipflop2" DstPort 2 } Branch { Points [0, 60] Branch { DstBlock "flipflop3" DstPort 2 } Branch { Points [0, 60] Branch { DstBlock "flipflop4" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop5" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop6" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop7" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop8" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop9" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop10" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop11" DstPort 2 } Branch { Points [0, 60] Branch { DstBlock "flipflop12" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop13" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop14" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop15" DstPort 2 } Branch { Points [0, 55] Branch { Points [0, 5] DstBlock "flipflop16" DstPort 2 } Branch { Points [0, 60] Branch { DstBlock "flipflop17" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop24" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop25" DstPort 2 } Branch { Points [0, 60] Branch { DstBlock "flipflop26" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop27" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop28" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop29" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop30" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop31" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop18" DstPort 2 } Branch { Points [0, 55] Branch { DstBlock "flipflop19" DstPort 2 } Branch { Points [0, 60] Branch { DstBlock "flipflop20" DstPort 2 } Branch { Points [0, 55] DstBlock "flipflop21" DstPort 2 } } } } } } } } } } } } } } } } } } } } } } } } } } } } } } Line { SrcBlock "Demux" SrcPort 2 Points [0, -5; 80, 0] DstBlock "flipflop1" DstPort 1 } Line { SrcBlock "Demux" SrcPort 3 Points [75, 0; 0, -20] DstBlock "flipflop2" DstPort 1 } Line { SrcBlock "Demux" SrcPort 4 Points [75, 0; 0, -15] DstBlock "flipflop3" DstPort 1 } Line { SrcBlock "Demux" SrcPort 5 Points [75, 0; 0, -10] DstBlock "flipflop4" DstPort 1 } Line { SrcBlock "Demux" SrcPort 6 Points [75, 0; 0, -10] DstBlock "flipflop5" DstPort 1 } Line { SrcBlock "Demux" SrcPort 7 Points [75, 0; 0, -10] DstBlock "flipflop6" DstPort 1 } Line { SrcBlock "Demux" SrcPort 8 Points [75, 0; 0, -10] DstBlock "flipflop7" DstPort 1 } Line { SrcBlock "Demux" SrcPort 9 Points [75, 0; 0, -10] DstBlock "flipflop8" DstPort 1 } Line { SrcBlock "Demux" SrcPort 10 Points [75, 0; 0, -10] DstBlock "flipflop9" DstPort 1 } Line { SrcBlock "Demux" SrcPort 11 Points [75, 0; 0, -10] DstBlock "flipflop10" DstPort 1 } Line { SrcBlock "Demux" SrcPort 12 Points [75, 0; 0, -10] DstBlock "flipflop11" DstPort 1 } Line { SrcBlock "Demux" SrcPort 13 Points [75, 0; 0, -5] DstBlock "flipflop12" DstPort 1 } Line { SrcBlock "Demux" SrcPort 14 Points [75, 0; 0, -5] DstBlock "flipflop13" DstPort 1 } Line { SrcBlock "Demux" SrcPort 15 Points [75, 0; 0, -5] DstBlock "flipflop14" DstPort 1 } Line { SrcBlock "Demux" SrcPort 16 Points [75, 0; 0, -5] DstBlock "flipflop15" DstPort 1 } Line { SrcBlock "Demux" SrcPort 17 DstBlock "flipflop16" DstPort 1 } Line { SrcBlock "Demux" SrcPort 18 DstBlock "flipflop17" DstPort 1 } Line { SrcBlock "Demux" SrcPort 19 DstBlock "flipflop24" DstPort 1 } Line { SrcBlock "Demux" SrcPort 20 DstBlock "flipflop25" DstPort 1 } Line { SrcBlock "Demux" SrcPort 21 Points [80, 0] DstBlock "flipflop26" DstPort 1 } Line { SrcBlock "Demux" SrcPort 22 Points [80, 0] DstBlock "flipflop27" DstPort 1 } Line { SrcBlock "Demux" SrcPort 23 Points [80, 0] DstBlock "flipflop28" DstPort 1 } Line { SrcBlock "Demux" SrcPort 24 Points [80, 0] DstBlock "flipflop29" DstPort 1 } Line { SrcBlock "Demux" SrcPort 25 Points [80, 0] DstBlock "flipflop30" DstPort 1 } Line { SrcBlock "Demux" SrcPort 26 Points [80, 0] DstBlock "flipflop31" DstPort 1 } Line { SrcBlock "Demux" SrcPort 27 Points [80, 0] DstBlock "flipflop18" DstPort 1 } Line { SrcBlock "Demux" SrcPort 28 Points [80, 0] DstBlock "flipflop19" DstPort 1 } Line { SrcBlock "Demux" SrcPort 29 Points [80, 0] DstBlock "flipflop20" DstPort 1 } Line { SrcBlock "Demux" SrcPort 30 Points [80, 0] DstBlock "flipflop21" DstPort 1 } Line { SrcBlock "flipflop" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 1 } Line { SrcBlock "flipflop1" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 2 } Line { SrcBlock "flipflop2" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 3 } Line { SrcBlock "flipflop3" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 4 } Line { SrcBlock "flipflop4" SrcPort 1 DstBlock "Mux1" DstPort 5 } Line { SrcBlock "flipflop5" SrcPort 1 DstBlock "Mux1" DstPort 6 } Line { SrcBlock "flipflop6" SrcPort 1 DstBlock "Mux1" DstPort 7 } Line { SrcBlock "flipflop7" SrcPort 1 DstBlock "Mux1" DstPort 8 } Line { SrcBlock "flipflop8" SrcPort 1 DstBlock "Mux1" DstPort 9 } Line { SrcBlock "flipflop9" SrcPort 1 DstBlock "Mux1" DstPort 10 } Line { SrcBlock "flipflop10" SrcPort 1 DstBlock "Mux1" DstPort 11 } Line { SrcBlock "flipflop11" SrcPort 1 DstBlock "Mux1" DstPort 12 } Line { SrcBlock "flipflop12" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 13 } Line { SrcBlock "flipflop13" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 14 } Line { SrcBlock "flipflop14" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 15 } Line { SrcBlock "flipflop15" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 16 } Line { SrcBlock "flipflop16" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 17 } Line { SrcBlock "flipflop17" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 18 } Line { SrcBlock "flipflop24" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 19 } Line { SrcBlock "flipflop25" SrcPort 1 Points [125, 0] DstBlock "Mux1" DstPort 20 } Line { SrcBlock "flipflop26" SrcPort 1 Points [60, 0; 0, -15] DstBlock "Mux1" DstPort 21 } Line { SrcBlock "flipflop27" SrcPort 1 Points [50, 0; 0, -15] DstBlock "Mux1" DstPort 22 } Line { SrcBlock "flipflop28" SrcPort 1 Points [40, 0; 0, -15] DstBlock "Mux1" DstPort 23 } Line { SrcBlock "flipflop29" SrcPort 1 Points [80, 0; 0, -15] DstBlock "Mux1" DstPort 24 } Line { SrcBlock "flipflop30" SrcPort 1 Points [75, 0; 0, -15] DstBlock "Mux1" DstPort 25 } Line { SrcBlock "flipflop31" SrcPort 1 Points [70, 0; 0, -15] DstBlock "Mux1" DstPort 26 } Line { SrcBlock "flipflop18" SrcPort 1 Points [65, 0; 0, -15] DstBlock "Mux1" DstPort 27 } Line { SrcBlock "flipflop19" SrcPort 1 Points [60, 0; 0, -15] DstBlock "Mux1" DstPort 28 } Line { SrcBlock "flipflop20" SrcPort 1 Points [55, 0; 0, -20] DstBlock "Mux1" DstPort 29 } Line { SrcBlock "flipflop21" SrcPort 1 Points [50, 0; 0, -20] DstBlock "Mux1" DstPort 30 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "boo2int" DstPort 1 } Line { SrcBlock "d" SrcPort 1 DstBlock "Demux" DstPort 1 } Line { SrcBlock "boo2int" SrcPort 1 DstBlock "q" DstPort 1 } } } Block { BlockType SubSystem Name "ALU" Ports [2, 1] Position [180, 75, 295, 150] Orientation "up" ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('PCALU');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "ALU" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "A" Position [20, 101, 40, 119] IconDisplay "Port number" } Block { BlockType Inport Name "Cin" Position [20, 136, 40, 154] Port "2" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 230, 320, 270] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 25" Ports [2, 2] Position [180, 110, 230, 230] FunctionName "sf_sfun" PortCounts "[2 2]" EnableBusSupport on Port { PortNumber 2 Name "pcsum" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 241, 480, 259] } Block { BlockType Outport Name "pcsum" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "A" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { SrcBlock "Cin" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { Name "pcsum" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "pcsum" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType SubSystem Name "InstructionMemory" Ports [2, 1] Position [315, 181, 430, 299] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('InstrMem');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "InstructionMemory" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Addr" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Inport Name "instrfile" Position [20, 136, 40, 154] Port "2" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 220, 320, 260] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 24" Ports [2, 2] Position [180, 114, 230, 221] FunctionName "sf_sfun" PortCounts "[2 2]" EnableBusSupport on Port { PortNumber 2 Name "instrout" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 231, 480, 249] } Block { BlockType Outport Name "instrout" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "Addr" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { SrcBlock "instrfile" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { Name "instrout" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "instrout" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Reference Name "Integer Delay1" Ports [1, 1] Position [140, 18, 170, 42] Orientation "left" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0" samptime "-1" NumDelays "1" } Block { BlockType Reference Name "Integer Delay2" Ports [1, 1] Position [113, 280, 137, 310] Orientation "down" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0" samptime "-1" NumDelays "10" } Block { BlockType Constant Name "instrfile" Position [246, 315, 294, 335] Orientation "up" Value "instrfile" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Outport Name "Instr" Position [460, 233, 490, 247] IconDisplay "Port number" } Block { BlockType Outport Name "iffin" Position [118, 340, 132, 370] Orientation "down" NamePlacement "alternate" Port "2" IconDisplay "Port number" } Line { SrcBlock "ALU" SrcPort 1 Points [0, -40] DstBlock "Integer Delay1" DstPort 1 } Line { SrcBlock "$1" SrcPort 1 DstBlock "ALU" DstPort 2 } Line { SrcBlock "ifstart" SrcPort 1 Points [0, 55] Branch { Points [0, 10] DstBlock "32ff" DstPort 2 } Branch { Points [25, 0] DstBlock "Integer Delay2" DstPort 1 } } Line { SrcBlock "Integer Delay1" SrcPort 1 Points [-70, 0] DstBlock "32ff" DstPort 1 } Line { SrcBlock "32ff" SrcPort 1 Points [0, 20; 130, 0; 0, -35] Branch { DstBlock "ALU" DstPort 1 } Branch { DstBlock "InstructionMemory" DstPort 1 } } Line { SrcBlock "instrfile" SrcPort 1 Points [0, -40] DstBlock "InstructionMemory" DstPort 2 } Line { SrcBlock "InstructionMemory" SrcPort 1 DstBlock "Instr" DstPort 1 } Line { SrcBlock "Integer Delay2" SrcPort 1 DstBlock "iffin" DstPort 1 } } } Block { BlockType Reference Name "Integer Delay" Ports [1, 1] Position [705, 383, 740, 417] Orientation "left" NamePlacement "alternate" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "r" samptime "-1" NumDelays "1" } Block { BlockType SubSystem Name "ReadReg" Ports [3, 3] Position [340, 95, 425, 235] ForegroundColor "lightBlue" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ReadReg" Location [86, 438, 581, 788] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "instr" Position [25, 68, 55, 82] IconDisplay "Port number" } Block { BlockType Inport Name "r" Position [195, 133, 225, 147] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "rfstart" Position [135, 243, 165, 257] Port "3" IconDisplay "Port number" } Block { BlockType Reference Name "Integer Delay2" Ports [1, 1] Position [210, 238, 240, 262] NamePlacement "alternate" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0" samptime "-1" NumDelays "10" } Block { BlockType SubSystem Name "readReg" Ports [3, 2] Position [260, 25, 400, 165] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('RegisterFile');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "readReg" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "ReadReg1" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Inport Name "ReadReg2" Position [20, 136, 40, 154] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "r" Position [20, 171, 40, 189] Port "3" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 380, 320, 420] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 4" Ports [3, 3] Position [180, 132, 230, 378] FunctionName "sf_sfun" PortCounts "[3 3]" EnableBusSupport on Port { PortNumber 2 Name "ReadData1" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "ReadData2" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 391, 480, 409] } Block { BlockType Outport Name "ReadData1" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Outport Name "ReadData2" Position [460, 136, 480, 154] Port "2" IconDisplay "Port number" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "ReadReg1" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { SrcBlock "ReadReg2" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { SrcBlock "r" SrcPort 1 DstBlock " SFunction " DstPort 3 } Line { Name "ReadData1" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "ReadData1" DstPort 1 } Line { Name "ReadData2" Labels [0, 0] SrcBlock " SFunction " SrcPort 3 DstBlock "ReadData2" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType SubSystem Name "seperate" Ports [1, 2] Position [90, 27, 185, 118] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('seperate');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "seperate" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "inst" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 370, 320, 410] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 6" Ports [1, 3] Position [180, 128, 230, 372] FunctionName "sf_sfun" PortCounts "[1 3]" EnableBusSupport on Port { PortNumber 2 Name "rs" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "rt" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 381, 480, 399] } Block { BlockType Outport Name "rs" Position [460, 101, 480, 119] IconDisplay "Port number" } Block { BlockType Outport Name "rt" Position [460, 136, 480, 154] Port "2" IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "inst" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "rs" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "rs" DstPort 1 } Line { Name "rt" Labels [0, 0] SrcBlock " SFunction " SrcPort 3 DstBlock "rt" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "ReadData1" Position [425, 53, 455, 67] IconDisplay "Port number" } Block { BlockType Outport Name "ReadData2" Position [425, 123, 455, 137] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "rffin" Position [280, 243, 310, 257] Port "3" IconDisplay "Port number" } Line { SrcBlock "seperate" SrcPort 1 DstBlock "readReg" DstPort 1 } Line { SrcBlock "seperate" SrcPort 2 DstBlock "readReg" DstPort 2 } Line { SrcBlock "instr" SrcPort 1 DstBlock "seperate" DstPort 1 } Line { SrcBlock "r" SrcPort 1 DstBlock "readReg" DstPort 3 } Line { SrcBlock "readReg" SrcPort 1 DstBlock "ReadData1" DstPort 1 } Line { SrcBlock "readReg" SrcPort 2 DstBlock "ReadData2" DstPort 1 } Line { SrcBlock "rfstart" SrcPort 1 DstBlock "Integer Delay2" DstPort 1 } Line { SrcBlock "Integer Delay2" SrcPort 1 DstBlock "rffin" DstPort 1 } } } Block { BlockType SubSystem Name "Realistic And1" Ports [2, 1] Position [510, 323, 550, 372] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Realistic And1" Location [532, 397, 907, 503] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" Position [25, 43, 55, 57] IconDisplay "Port number" } Block { BlockType Inport Name "In2" Position [25, 58, 55, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Integer Delay" Ports [1, 1] Position [140, 43, 175, 77] SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0.0" samptime "-1" NumDelays "delay" } Block { BlockType Logic Name "Logical\nOperator" Ports [2, 1] Position [80, 42, 110, 73] NamePlacement "alternate" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType Outport Name "Out1" Position [195, 53, 225, 67] IconDisplay "Port number" } Line { SrcBlock "Logical\nOperator" SrcPort 1 DstBlock "Integer Delay" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Logical\nOperator" DstPort 1 } Line { SrcBlock "Integer Delay" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Logical\nOperator" DstPort 2 } } } Block { BlockType SubSystem Name "Realistic And2" Ports [2, 1] Position [230, 188, 270, 237] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Realistic And2" Location [532, 397, 907, 503] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" Position [25, 43, 55, 57] IconDisplay "Port number" } Block { BlockType Inport Name "In2" Position [25, 58, 55, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Integer Delay" Ports [1, 1] Position [140, 43, 175, 77] SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0.0" samptime "-1" NumDelays "delay" } Block { BlockType Logic Name "Logical\nOperator" Ports [2, 1] Position [80, 42, 110, 73] NamePlacement "alternate" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType Outport Name "Out1" Position [195, 53, 225, 67] IconDisplay "Port number" } Line { SrcBlock "Logical\nOperator" SrcPort 1 DstBlock "Integer Delay" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Logical\nOperator" DstPort 1 } Line { SrcBlock "Integer Delay" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Logical\nOperator" DstPort 2 } } } Block { BlockType SubSystem Name "Realistic And3" Ports [2, 1] Position [855, 568, 895, 617] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Realistic And3" Location [532, 397, 907, 503] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" Position [25, 43, 55, 57] IconDisplay "Port number" } Block { BlockType Inport Name "In2" Position [25, 58, 55, 72] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Integer Delay" Ports [1, 1] Position [140, 43, 175, 77] SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0.0" samptime "-1" NumDelays "delay" } Block { BlockType Logic Name "Logical\nOperator" Ports [2, 1] Position [80, 42, 110, 73] NamePlacement "alternate" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType Outport Name "Out1" Position [195, 53, 225, 67] IconDisplay "Port number" } Line { SrcBlock "Logical\nOperator" SrcPort 1 DstBlock "Integer Delay" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Logical\nOperator" DstPort 1 } Line { SrcBlock "Integer Delay" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Logical\nOperator" DstPort 2 } } } Block { BlockType ToWorkspace Name "To Workspace1" Position [1175, 460, 1230, 490] VariableName "rcontents" MaxDataPoints "inf" SampleTime "-1" SaveFormat "Array" } Block { BlockType SubSystem Name "WriteReg" Ports [6, 2] Position [945, 431, 1045, 609] ForegroundColor "orange" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "WriteReg" Location [576, 433, 1191, 778] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "instr" Position [25, 48, 55, 62] IconDisplay "Port number" } Block { BlockType Inport Name "WriteEnable" Position [365, 83, 395, 97] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "RegWr" Position [360, 108, 390, 122] NamePlacement "alternate" Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "RegDest" Position [185, 83, 215, 97] NamePlacement "alternate" Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "r" Position [365, 133, 395, 147] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "wrstart" Position [85, 148, 115, 162] NamePlacement "alternate" Port "6" IconDisplay "Port number" } Block { BlockType Reference Name "Integer Delay2" Ports [1, 1] Position [170, 143, 200, 167] NamePlacement "alternate" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0" samptime "-1" NumDelays "10" } Block { BlockType SubSystem Name "RegisterFile1" Ports [4, 1] Position [430, 53, 535, 152] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('WritetoReg');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "RegisterFile1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "WriteReg" Position [20, 101, 40, 119] IconDisplay "Port number" } Block { BlockType Inport Name "WriteData" Position [20, 136, 40, 154] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "WriteEnable" Position [20, 171, 40, 189] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "r" Position [20, 206, 40, 224] Port "4" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 380, 320, 420] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 9" Ports [4, 2] Position [180, 132, 230, 378] FunctionName "sf_sfun" PortCounts "[4 2]" EnableBusSupport on Port { PortNumber 2 Name "rout" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 391, 480, 409] } Block { BlockType Outport Name "rout" Position [460, 101, 480, 119] IconDisplay "Port number" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "WriteReg" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { SrcBlock "WriteData" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { SrcBlock "WriteEnable" SrcPort 1 DstBlock " SFunction " DstPort 3 } Line { SrcBlock "r" SrcPort 1 DstBlock " SFunction " DstPort 4 } Line { Name "rout" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "rout" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType SubSystem Name "mux1" Ports [3, 1] Position [250, 25, 310, 105] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('mux2');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "mux1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in0" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Inport Name "in1" Position [20, 136, 40, 154] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "control" Position [20, 171, 40, 189] Port "3" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 270, 320, 310] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 1" Ports [3, 2] Position [180, 108, 230, 272] FunctionName "sf_sfun" PortCounts "[3 2]" EnableBusSupport on Port { PortNumber 2 Name "out" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 281, 480, 299] } Block { BlockType Outport Name "out" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in0" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { SrcBlock "in1" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { SrcBlock "control" SrcPort 1 DstBlock " SFunction " DstPort 3 } Line { Name "out" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "out" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType SubSystem Name "seperate1" Ports [1, 2] Position [90, 28, 175, 77] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('seperate');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "seperate1" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "inst" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 370, 320, 410] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 36" Ports [1, 3] Position [180, 128, 230, 372] FunctionName "sf_sfun" PortCounts "[1 3]" EnableBusSupport on Port { PortNumber 2 Name "rt" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "rd" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 381, 480, 399] } Block { BlockType Outport Name "rt" Position [460, 101, 480, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Outport Name "rd" Position [460, 136, 480, 154] Port "2" IconDisplay "Port number" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "inst" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "rt" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "rt" DstPort 1 } Line { Name "rd" Labels [0, 0] SrcBlock " SFunction " SrcPort 3 DstBlock "rd" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Rout" Position [560, 98, 590, 112] IconDisplay "Port number" } Block { BlockType Outport Name "wbfin" Position [240, 148, 270, 162] NamePlacement "alternate" Port "2" IconDisplay "Port number" } Line { SrcBlock "mux1" SrcPort 1 DstBlock "RegisterFile1" DstPort 1 } Line { SrcBlock "RegDest" SrcPort 1 DstBlock "mux1" DstPort 3 } Line { SrcBlock "RegWr" SrcPort 1 DstBlock "RegisterFile1" DstPort 3 } Line { SrcBlock "seperate1" SrcPort 1 DstBlock "mux1" DstPort 1 } Line { SrcBlock "seperate1" SrcPort 2 DstBlock "mux1" DstPort 2 } Line { SrcBlock "instr" SrcPort 1 DstBlock "seperate1" DstPort 1 } Line { SrcBlock "r" SrcPort 1 DstBlock "RegisterFile1" DstPort 4 } Line { SrcBlock "RegisterFile1" SrcPort 1 DstBlock "Rout" DstPort 1 } Line { SrcBlock "WriteEnable" SrcPort 1 DstBlock "RegisterFile1" DstPort 2 } Line { SrcBlock "wrstart" SrcPort 1 DstBlock "Integer Delay2" DstPort 1 } Line { SrcBlock "Integer Delay2" SrcPort 1 DstBlock "wbfin" DstPort 1 } } } Block { BlockType Outport Name "Instr" Position [250, 38, 280, 52] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Outport Name "iffin" Position [190, 73, 220, 87] NamePlacement "alternate" Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "rffin" Position [465, 203, 495, 217] NamePlacement "alternate" Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "exefin" Position [815, 308, 845, 322] NamePlacement "alternate" Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "rfstart2" Position [283, 290, 297, 320] Orientation "down" Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "exestart1" Position [573, 385, 587, 415] Orientation "down" Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "wbstart2" Position [913, 650, 927, 680] Orientation "down" Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "wbfin" Position [1090, 558, 1120, 572] NamePlacement "alternate" Port "8" IconDisplay "Port number" } Line { SrcBlock "InstructionFetchUnit1" SrcPort 1 Points [70, 0] Branch { DstBlock "Instr" DstPort 1 } Branch { Points [0, 15; 105, 0] Branch { DstBlock "ReadReg" DstPort 1 } Branch { Points [175, 0] Branch { Points [0, 255] DstBlock "Execute" DstPort 5 } Branch { Points [395, 0; 0, 385] DstBlock "WriteReg" DstPort 1 } } } } Line { SrcBlock "ReadReg" SrcPort 1 Points [100, 0; 0, 90] DstBlock "Execute" DstPort 2 } Line { SrcBlock "ReadReg" SrcPort 2 Points [90, 0; 0, 80] DstBlock "Execute" DstPort 3 } Line { SrcBlock "Integer Delay" SrcPort 1 Points [-70, 0; 0, 115] Branch { Labels [0, 0] Points [0, 45; 300, 0] DstBlock "WriteReg" DstPort 5 } Branch { Labels [0, 0] Points [-310, 0; 0, -350] DstBlock "ReadReg" DstPort 2 } } Line { SrcBlock "ALUCtrl" SrcPort 1 Points [35, 0] DstBlock "Execute" DstPort 1 } Line { SrcBlock "ALUSrc" SrcPort 1 Points [20, 0] DstBlock "Execute" DstPort 4 } Line { SrcBlock "Execute" SrcPort 1 Points [180, 0] DstBlock "WriteReg" DstPort 2 } Line { SrcBlock "RegWr" SrcPort 1 DstBlock "WriteReg" DstPort 3 } Line { SrcBlock "RegDest" SrcPort 1 DstBlock "WriteReg" DstPort 4 } Line { SrcBlock "rfstart" SrcPort 1 DstBlock "Realistic And2" DstPort 1 } Line { SrcBlock "wrstart" SrcPort 1 DstBlock "Realistic And3" DstPort 1 } Line { SrcBlock "ifstart" SrcPort 1 DstBlock "InstructionFetchUnit1" DstPort 1 } Line { SrcBlock "InstructionFetchUnit1" SrcPort 2 Points [15, 0] Branch { DstBlock "iffin" DstPort 1 } Branch { Points [0, 145] DstBlock "Realistic And2" DstPort 2 } } Line { SrcBlock "Realistic And2" SrcPort 1 Points [15, 0] Branch { Points [35, 0] DstBlock "ReadReg" DstPort 3 } Branch { DstBlock "rfstart2" DstPort 1 } } Line { SrcBlock "ReadReg" SrcPort 3 Points [15, 0] Branch { DstBlock "rffin" DstPort 1 } Branch { Points [0, 150] DstBlock "Realistic And1" DstPort 2 } } Line { SrcBlock "exestart" SrcPort 1 DstBlock "Realistic And1" DstPort 1 } Line { SrcBlock "Execute" SrcPort 2 Points [40, 0] Branch { DstBlock "exefin" DstPort 1 } Branch { Points [0, 290] DstBlock "Realistic And3" DstPort 2 } } Line { SrcBlock "Realistic And1" SrcPort 1 Points [25, 0] Branch { DstBlock "Execute" DstPort 6 } Branch { DstBlock "exestart1" DstPort 1 } } Line { SrcBlock "WriteReg" SrcPort 1 Points [40, 0] Branch { Points [0, -75] DstBlock "Integer Delay" DstPort 1 } Branch { DstBlock "To Workspace1" DstPort 1 } } Line { SrcBlock "Realistic And3" SrcPort 1 Points [20, 0] Branch { DstBlock "WriteReg" DstPort 6 } Branch { DstBlock "wbstart2" DstPort 1 } } Line { SrcBlock "WriteReg" SrcPort 2 DstBlock "wbfin" DstPort 1 } } } Block { BlockType Reference Name "Integer Delay1" Ports [1, 1] Position [375, 328, 410, 362] Orientation "left" NamePlacement "alternate" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0" samptime "-1" NumDelays "1" } Block { BlockType Logic Name "Logical\nOperator1" Ports [1, 1] Position [450, 329, 480, 361] Orientation "left" NamePlacement "alternate" Operator "NOT" AllPortsSameDT off OutDataTypeMode "boolean" OutDataTypeStr "boolean" } Block { BlockType Scope Name "Scope" Ports [1] Position [535, 199, 565, 231] Floating off Location [97, 107, 580, 451] Open on NumInputPorts "1" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" } DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType SubSystem Name "celement" Ports [7, 1] Position [183, 130, 367, 190] Orientation "up" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "celement" Location [194, 275, 1102, 775] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" Position [200, 400, 230, 415] Orientation "up" IconDisplay "Port number" } Block { BlockType Inport Name "In2" Position [240, 400, 270, 415] Orientation "up" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" Position [280, 400, 310, 415] Orientation "up" Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" Position [320, 400, 350, 415] Orientation "up" Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" Position [360, 400, 390, 415] Orientation "up" Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" Position [400, 400, 430, 415] Orientation "up" Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" Position [440, 400, 470, 415] Orientation "up" Port "7" IconDisplay "Port number" } Block { BlockType Reference Name "Integer Delay3" Ports [1, 1] Position [680, 208, 715, 242] SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" vinit "0" samptime "-1" NumDelays "1" } Block { BlockType Mux Name "Mux" Ports [7, 1] Position [194, 365, 476, 375] Orientation "up" ShowName off Inputs "7" DisplayOption "bar" } Block { BlockType SubSystem Name "celement" Ports [2, 1] Position [535, 189, 615, 256] ForegroundColor "blue" PermitHierarchicalResolution "ExplicitOnly" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off Array { Type "Handle" Dimension 0 PropName "AvailSigsLoadSave" } RequestExecContextInheritance off MaskHideContents off MaskType "Stateflow" MaskDescription "Embedded MATLAB block" MaskDisplay "disp('cele');" MaskSelfModifiable on MaskIconFrame on MaskIconOpaque off MaskIconRotate "none" MaskIconUnits "autoscale" System { Name "celement" Location [257, 457, 812, 717] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [20, 101, 40, 119] IconDisplay "Port number" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Inport Name "outprev" Position [20, 136, 40, 154] Port "2" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " Ports [1, 1] Position [270, 370, 320, 410] Outputs "1" } Block { BlockType "S-Function" Name " SFunction " Tag "Stateflow S-Function processor_asynchronous 7" Ports [2, 2] Position [180, 128, 230, 372] FunctionName "sf_sfun" PortCounts "[2 2]" EnableBusSupport on Port { PortNumber 2 Name "out" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Terminator Name " Terminator " Position [460, 381, 480, 399] } Block { BlockType Outport Name "out" Position [460, 101, 480, 119] IconDisplay "Port number" } Line { SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } Line { SrcBlock "in" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { SrcBlock "outprev" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { Name "out" Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "out" DstPort 1 } Line { SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } } } Block { BlockType Outport Name "Out1" Position [765, 218, 795, 232] IconDisplay "Port number" } Line { SrcBlock "celement" SrcPort 1 DstBlock "Integer Delay3" DstPort 1 } Line { SrcBlock "Integer Delay3" SrcPort 1 Points [25, 0] Branch { Points [0, 65; -260, 0; 0, -50] DstBlock "celement" DstPort 2 } Branch { DstBlock "Out1" DstPort 1 } } Line { SrcBlock "Mux" SrcPort 1 Points [0, -155] DstBlock "celement" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Mux" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Mux" DstPort 3 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Mux" DstPort 4 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Mux" DstPort 5 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Mux" DstPort 6 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Mux" DstPort 7 } } } Line { SrcBlock "DataFlow" SrcPort 1 Points [0, -5; -65, 0; 0, 235; 105, 0] DstBlock "Control" DstPort 1 } Line { SrcBlock "Control" SrcPort 1 DstBlock "DataFlow" DstPort 1 } Line { SrcBlock "Control" SrcPort 2 DstBlock "DataFlow" DstPort 2 } Line { SrcBlock "Control" SrcPort 3 DstBlock "DataFlow" DstPort 3 } Line { SrcBlock "Control" SrcPort 4 DstBlock "DataFlow" DstPort 4 } Line { SrcBlock "Logical\nOperator1" SrcPort 1 DstBlock "Integer Delay1" DstPort 1 } Line { Labels [0, 0] SrcBlock "celement" SrcPort 1 Points [0, -15; 240, 0; 0, 105] Branch { Points [0, 130] DstBlock "Logical\nOperator1" DstPort 1 } Branch { DstBlock "Scope" DstPort 1 } } Line { SrcBlock "Integer Delay1" SrcPort 1 Points [-20, 0] Branch { Points [-25, 0] Branch { DstBlock "DataFlow" DstPort 7 } Branch { Points [-25, 0] Branch { Points [-25, 0] DstBlock "DataFlow" DstPort 5 } Branch { DstBlock "DataFlow" DstPort 6 } } } Branch { DstBlock "DataFlow" DstPort 8 } } Line { SrcBlock "DataFlow" SrcPort 2 DstBlock "celement" DstPort 1 } Line { SrcBlock "DataFlow" SrcPort 3 DstBlock "celement" DstPort 2 } Line { SrcBlock "DataFlow" SrcPort 4 DstBlock "celement" DstPort 3 } Line { SrcBlock "DataFlow" SrcPort 5 DstBlock "celement" DstPort 4 } Line { SrcBlock "DataFlow" SrcPort 6 DstBlock "celement" DstPort 5 } Line { SrcBlock "DataFlow" SrcPort 7 DstBlock "celement" DstPort 6 } Line { SrcBlock "DataFlow" SrcPort 8 DstBlock "celement" DstPort 7 } Annotation { Position [345, 246] } } } # Finite State Machines # # Stateflow Version 7.1 (R2008a) dated Feb 7 2008, 21:38:28 # # Stateflow { machine { id 1 name "processor_asynchronous" created "21-Oct-2008 19:52:56" isLibrary 0 firstTarget 331 sfVersion 71014000.000003 } chart { id 2 name "DataFlow/WriteReg/mux1" windowPosition [467.039 203.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 3 0 0] firstTransition 5 firstJunction 4 viewObj 2 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 6 chartFileNumber 1 disableImplicitCasting 1 eml { name "mux2" } } state { id 3 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 2 treeNode [2 0 0 0] superState SUBCHART subviewer 2 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function out = mux2(in0,in1,control)\nif control\n out = in1;\nelse\n out = in0;\nend\n" editorLayout "100 M4x1[268 188 852 701]" } } junction { id 4 position [23.5747 49.5747 7] chart 2 linkNode [2 0 0] subviewer 2 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 5 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 4 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 2 linkNode [2 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 2 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 6 ssIdNumber 4 name "in0" linkNode [2 0 7] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 7 ssIdNumber 8 name "in1" linkNode [2 6 8] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 8 ssIdNumber 9 name "control" linkNode [2 7 9] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 9 ssIdNumber 5 name "out" linkNode [2 8 0] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 10 name "DataFlow/WriteReg/mux1" machine 1 chart 2 } chart { id 11 name "DataFlow/Execute/SignExtend" windowPosition [392.039 278.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 12 0 0] firstTransition 14 firstJunction 13 viewObj 11 machine 1 ssIdHighWaterMark 7 decomposition CLUSTER_CHART type EML_CHART firstData 15 chartFileNumber 2 disableImplicitCasting 1 eml { name "SE" } } state { id 12 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 11 treeNode [11 0 0 0] superState SUBCHART subviewer 11 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function out = SE(imm)\n% This block supports the Embedded MATLAB subset.\n% See the help menu for details. \nout = [zeros(16,1);imm]; %in reality, we'd pad this with 0's\n" editorLayout "100 M4x1[268 188 852 701]" } } junction { id 13 position [23.5747 49.5747 7] chart 11 linkNode [11 0 0] subviewer 11 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 14 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 13 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 11 linkNode [11 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 11 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 15 ssIdNumber 4 name "imm" linkNode [11 0 16] scope INPUT_DATA machine 1 props { array { size "16" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 16 ssIdNumber 5 name "out" linkNode [11 15 0] scope OUTPUT_DATA machine 1 props { array { size "32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 17 name "DataFlow/Execute/SignExtend" machine 1 chart 11 } chart { id 18 name "DataFlow/Execute/seperate5" windowPosition [467.039 203.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 19 0 0] firstTransition 21 firstJunction 20 viewObj 18 machine 1 ssIdHighWaterMark 25 decomposition CLUSTER_CHART type EML_CHART firstData 22 chartFileNumber 3 disableImplicitCasting 1 eml { name "seperate" } } state { id 19 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 18 treeNode [18 0 0 0] superState SUBCHART subviewer 18 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function imm = seperate(inst)\n imm = inst(17:32);" editorLayout "100 M4x1[268 188 852 701]" } } junction { id 20 position [23.5747 49.5747 7] chart 18 linkNode [18 0 0] subviewer 18 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 21 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 20 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 18 linkNode [18 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 18 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 22 ssIdNumber 4 name "inst" linkNode [18 0 23] scope INPUT_DATA machine 1 props { array { size "32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 23 ssIdNumber 19 name "imm" linkNode [18 22 0] scope OUTPUT_DATA machine 1 props { array { size "16" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 24 name "DataFlow/Execute/seperate5" machine 1 chart 18 } chart { id 25 name "DataFlow/ReadReg/readReg" windowPosition [437.039 233.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 26 0 0] firstTransition 28 firstJunction 27 viewObj 25 machine 1 ssIdHighWaterMark 27 decomposition CLUSTER_CHART type EML_CHART firstData 29 chartFileNumber 4 disableImplicitCasting 1 eml { name "RegisterFile" } } state { id 26 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 25 treeNode [25 0 0 0] superState SUBCHART subviewer 25 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function [ReadData1,ReadData2] =...\n RegisterFile(ReadReg1,ReadReg2,r)\n\n r1reg = 0; r2reg = 0;\n for n = 1:5\n r1reg = r1reg + ReadReg1(n)*2^(5-n);\n r2reg = r2reg + ReadReg2(n)*2^(5-n);\n end\n \n if r1reg == 0\n ReadData1 = zeros(32,1);\n else\n ReadData1 = r(:,r1reg);\n end\n \n if r2reg == 0\n ReadData2 = zeros(32,1);\n else\n ReadData2 = r(:,r2reg);\n end\n" editorLayout "100 M4x1[64 385 721 459]" } } junction { id 27 position [23.5747 49.5747 7] chart 25 linkNode [25 0 0] subviewer 25 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 28 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 27 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 25 linkNode [25 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 25 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 29 ssIdNumber 4 name "ReadReg1" linkNode [25 0 30] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 30 ssIdNumber 6 name "ReadReg2" linkNode [25 29 31] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 31 ssIdNumber 23 name "r" linkNode [25 30 32] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 32 ssIdNumber 5 name "ReadData1" linkNode [25 31 33] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } data { id 33 ssIdNumber 9 name "ReadData2" linkNode [25 32 0] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 34 name "DataFlow/ReadReg/readReg" machine 1 chart 25 } chart { id 35 name "DataFlow/InstructionFetchUnit1/32ff/boo2int" windowPosition [452.039 218.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 36 0 0] firstTransition 38 firstJunction 37 viewObj 35 machine 1 ssIdHighWaterMark 13 decomposition CLUSTER_CHART type EML_CHART firstData 39 chartFileNumber 5 disableImplicitCasting 1 eml { name "boo2int" } } state { id 36 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 35 treeNode [35 0 0 0] superState SUBCHART subviewer 35 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function int = boo2int(boo)\n int = zeros(30,1);\n for n = 1:30\n if boo(n)\n int(n) = 1;\n else\n int(n) = 0;\n end\n end" editorLayout "100 M4x1[125 125 721 543]" } } junction { id 37 position [23.5747 49.5747 7] chart 35 linkNode [35 0 0] subviewer 35 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 38 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 37 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 35 linkNode [35 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 35 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 39 ssIdNumber 5 name "boo" linkNode [35 0 40] scope INPUT_DATA machine 1 props { array { size "30" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } data { id 40 ssIdNumber 13 name "int" linkNode [35 39 0] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 41 name "DataFlow/InstructionFetchUnit1/32ff/boo2int" machine 1 chart 35 } chart { id 42 name "DataFlow/ReadReg/seperate" windowPosition [437.039 233.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 43 0 0] firstTransition 45 firstJunction 44 viewObj 42 machine 1 ssIdHighWaterMark 25 decomposition CLUSTER_CHART type EML_CHART firstData 46 chartFileNumber 6 disableImplicitCasting 1 eml { name "seperate" } } state { id 43 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 42 treeNode [42 0 0 0] superState SUBCHART subviewer 42 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function [rs,rt] = seperate(inst)\n rs = inst(7:11);\n rt = inst(12:16);" editorLayout "100 M4x1[268 188 852 701]" } } junction { id 44 position [23.5747 49.5747 7] chart 42 linkNode [42 0 0] subviewer 42 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 45 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 44 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 42 linkNode [42 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 42 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 46 ssIdNumber 9 name "rs" linkNode [42 0 47] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } data { id 47 ssIdNumber 4 name "inst" linkNode [42 46 48] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 48 ssIdNumber 5 name "rt" linkNode [42 47 0] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 49 name "DataFlow/ReadReg/seperate" machine 1 chart 42 } chart { id 50 name "celement/celement" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 51 0 0] firstTransition 53 firstJunction 52 viewObj 50 machine 1 ssIdHighWaterMark 26 decomposition CLUSTER_CHART type EML_CHART firstData 54 chartFileNumber 7 disableImplicitCasting 1 eml { name "cele" } } state { id 51 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 50 treeNode [50 0 0 0] superState SUBCHART subviewer 50 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function out = cele(in,outprev)\n if all(in)\n out = true;\n elseif ~any(in)\n out = false;\n else\n out = outprev;\n end" editorLayout "100 M4x1[173 494 852 701]" } } junction { id 52 position [23.5747 49.5747 7] chart 50 linkNode [50 0 0] subviewer 50 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 53 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 52 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 50 linkNode [50 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 50 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 54 ssIdNumber 9 name "out" linkNode [50 0 55] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } data { id 55 ssIdNumber 4 name "in" linkNode [50 54 56] scope INPUT_DATA machine 1 props { array { size "7" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED } dataType "boolean" } data { id 56 ssIdNumber 26 name "outprev" linkNode [50 55 0] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "boolean" } instance { id 57 name "celement/celement" machine 1 chart 50 } chart { id 58 name "DataFlow/InstructionFetchUnit1/32ff/flipflop/int2boo1" windowPosition [467.039 203.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 59 0 0] firstTransition 61 firstJunction 60 viewObj 58 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 62 chartFileNumber 8 disableImplicitCasting 1 eml { name "int2boo" } } state { id 59 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 58 treeNode [58 0 0 0] superState SUBCHART subviewer 58 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 60 position [23.5747 49.5747 7] chart 58 linkNode [58 0 0] subviewer 58 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 61 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 60 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 58 linkNode [58 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 58 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 62 ssIdNumber 4 name "in" linkNode [58 0 63] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 63 ssIdNumber 5 name "boo" linkNode [58 62 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 64 name "DataFlow/InstructionFetchUnit1/32ff/flipflop/int2boo1" machine 1 chart 58 } chart { id 65 name "DataFlow/WriteReg/RegisterFile1" windowPosition [467.039 203.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 66 0 0] firstTransition 68 firstJunction 67 viewObj 65 machine 1 ssIdHighWaterMark 25 decomposition CLUSTER_CHART type EML_CHART firstData 69 chartFileNumber 9 disableImplicitCasting 1 eml { name "WritetoReg" } } state { id 66 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 65 treeNode [65 0 0 0] superState SUBCHART subviewer 65 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function rout =...\n WritetoReg(WriteReg, WriteData, WriteEnable,r)\n\n wreg = 0;\n for n = 1:5\n wreg = wreg + WriteReg(n)*2^(5-n);\n end\n \n if wreg ~=0\n if WriteEnable\n r(:,wreg) = WriteData;\n end\n end\n rout = r;" editorLayout "100 M4x1[61 69 852 701]" } } junction { id 67 position [23.5747 49.5747 7] chart 65 linkNode [65 0 0] subviewer 65 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 68 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 67 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 65 linkNode [65 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 65 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 69 ssIdNumber 11 name "WriteReg" linkNode [65 0 70] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } data { id 70 ssIdNumber 12 name "WriteData" linkNode [65 69 71] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } data { id 71 ssIdNumber 13 name "WriteEnable" linkNode [65 70 72] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } data { id 72 ssIdNumber 23 name "r" linkNode [65 71 73] scope INPUT_DATA machine 1 props { array { size "32,32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 73 ssIdNumber 24 name "rout" linkNode [65 72 0] scope OUTPUT_DATA machine 1 props { array { size "32,32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 74 name "DataFlow/WriteReg/RegisterFile1" machine 1 chart 65 } chart { id 75 name "DataFlow/Execute/ALU" windowPosition [422.039 248.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 76 0 0] firstTransition 78 firstJunction 77 viewObj 75 machine 1 ssIdHighWaterMark 15 decomposition CLUSTER_CHART type EML_CHART firstData 79 chartFileNumber 10 disableImplicitCasting 1 eml { name "ALU" } } state { id 76 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 75 treeNode [75 0 0 0] superState SUBCHART subviewer 75 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function [ALUResultout,zero] = ALU(Control,Ain,Bin)\nA = 0; B = 0;\nfor n = 1:32\n A = A + Ain(n)*2^(32-n);\n B = B + Bin(n)*2^(32-n);\nend\n\nALUResult = 0;\nif Control(1) == 0 && Control(2) == 0\n ALUResult = A + B;\nelseif Control(1) == 1 && Control(2) == 0\n ALUResult = A - B;\nelseif Control(1) == 1 && Control(2) == 1\n if A < B\n ALUResult = 1;\n else\n ALUResult = 0;\n end\nend\nif ~any(ALUResult)\n zero = true;\nelse\n zero = false;\nend\n\nALUResultout = zeros(32,1);\n\n\nif Control(1) && ~Control(2)\n ALUResultoutBoo = xor(Ain,Bin);\n for k = 1:length(ALUResultoutBoo)\n if ALUResultoutBoo(k)\n ALUResultout(k) = 1;\n else\n ALUResultout(k) = 0;\n end\n end\n zero = sum(ALUResultout) == 0;\nelse\n for n = 32:-1:1\n ALUResultout(n) = mod(ALUResult,2);\n ALUResult = ALUResult - mod(ALUResult,2);\n ALUResult = ALUResult / 2;\n end\nend\n" editorLayout "100 M4x1[64 385 721 459]" } } junction { id 77 position [23.5747 49.5747 7] chart 75 linkNode [75 0 0] subviewer 75 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 78 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 77 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 75 linkNode [75 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 75 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 79 ssIdNumber 7 name "Control" linkNode [75 0 80] scope INPUT_DATA machine 1 props { array { size "2" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 80 ssIdNumber 4 name "Ain" linkNode [75 79 81] scope INPUT_DATA machine 1 props { array { size "32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 81 ssIdNumber 5 name "ALUResultout" linkNode [75 80 82] scope OUTPUT_DATA machine 1 props { array { size "32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } data { id 82 ssIdNumber 6 name "Bin" linkNode [75 81 83] scope INPUT_DATA machine 1 props { array { size "32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 83 ssIdNumber 9 name "zero" linkNode [75 82 0] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 84 name "DataFlow/Execute/ALU" machine 1 chart 75 } chart { id 85 name "DataFlow/InstructionFetchUnit1/32ff/flipflop1/int2boo1" windowPosition [482.039 188.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 86 0 0] firstTransition 88 firstJunction 87 viewObj 85 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 89 chartFileNumber 11 disableImplicitCasting 1 eml { name "int2boo" } } state { id 86 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 85 treeNode [85 0 0 0] superState SUBCHART subviewer 85 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 87 position [23.5747 49.5747 7] chart 85 linkNode [85 0 0] subviewer 85 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 88 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 87 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 85 linkNode [85 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 85 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 89 ssIdNumber 4 name "in" linkNode [85 0 90] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 90 ssIdNumber 5 name "boo" linkNode [85 89 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 91 name "DataFlow/InstructionFetchUnit1/32ff/flipflop1/int2boo1" machine 1 chart 85 } chart { id 92 name "DataFlow/InstructionFetchUnit1/32ff/flipflop2/int2boo1" windowPosition [482.039 188.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 93 0 0] firstTransition 95 firstJunction 94 viewObj 92 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 96 chartFileNumber 12 disableImplicitCasting 1 eml { name "int2boo" } } state { id 93 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 92 treeNode [92 0 0 0] superState SUBCHART subviewer 92 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 94 position [23.5747 49.5747 7] chart 92 linkNode [92 0 0] subviewer 92 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 95 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 94 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 92 linkNode [92 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 92 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 96 ssIdNumber 4 name "in" linkNode [92 0 97] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 97 ssIdNumber 5 name "boo" linkNode [92 96 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 98 name "DataFlow/InstructionFetchUnit1/32ff/flipflop2/int2boo1" machine 1 chart 92 } chart { id 99 name "DataFlow/InstructionFetchUnit1/32ff/flipflop3/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 100 0 0] firstTransition 102 firstJunction 101 viewObj 99 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 103 chartFileNumber 13 disableImplicitCasting 1 eml { name "int2boo" } } state { id 100 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 99 treeNode [99 0 0 0] superState SUBCHART subviewer 99 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 101 position [23.5747 49.5747 7] chart 99 linkNode [99 0 0] subviewer 99 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 102 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 101 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 99 linkNode [99 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 99 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 103 ssIdNumber 4 name "in" linkNode [99 0 104] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 104 ssIdNumber 5 name "boo" linkNode [99 103 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 105 name "DataFlow/InstructionFetchUnit1/32ff/flipflop3/int2boo1" machine 1 chart 99 } chart { id 106 name "DataFlow/InstructionFetchUnit1/32ff/flipflop4/int2boo1" windowPosition [482.039 188.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 107 0 0] firstTransition 109 firstJunction 108 viewObj 106 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 110 chartFileNumber 14 disableImplicitCasting 1 eml { name "int2boo" } } state { id 107 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 106 treeNode [106 0 0 0] superState SUBCHART subviewer 106 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 108 position [23.5747 49.5747 7] chart 106 linkNode [106 0 0] subviewer 106 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 109 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 108 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 106 linkNode [106 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 106 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 110 ssIdNumber 4 name "in" linkNode [106 0 111] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 111 ssIdNumber 5 name "boo" linkNode [106 110 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 112 name "DataFlow/InstructionFetchUnit1/32ff/flipflop4/int2boo1" machine 1 chart 106 } chart { id 113 name "DataFlow/InstructionFetchUnit1/32ff/flipflop5/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 114 0 0] firstTransition 116 firstJunction 115 viewObj 113 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 117 chartFileNumber 15 disableImplicitCasting 1 eml { name "int2boo" } } state { id 114 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 113 treeNode [113 0 0 0] superState SUBCHART subviewer 113 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 115 position [23.5747 49.5747 7] chart 113 linkNode [113 0 0] subviewer 113 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 116 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 115 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 113 linkNode [113 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 113 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 117 ssIdNumber 4 name "in" linkNode [113 0 118] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 118 ssIdNumber 5 name "boo" linkNode [113 117 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 119 name "DataFlow/InstructionFetchUnit1/32ff/flipflop5/int2boo1" machine 1 chart 113 } chart { id 120 name "DataFlow/InstructionFetchUnit1/32ff/flipflop6/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 121 0 0] firstTransition 123 firstJunction 122 viewObj 120 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 124 chartFileNumber 16 disableImplicitCasting 1 eml { name "int2boo" } } state { id 121 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 120 treeNode [120 0 0 0] superState SUBCHART subviewer 120 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 122 position [23.5747 49.5747 7] chart 120 linkNode [120 0 0] subviewer 120 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 123 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 122 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 120 linkNode [120 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 120 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 124 ssIdNumber 4 name "in" linkNode [120 0 125] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 125 ssIdNumber 5 name "boo" linkNode [120 124 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 126 name "DataFlow/InstructionFetchUnit1/32ff/flipflop6/int2boo1" machine 1 chart 120 } chart { id 127 name "DataFlow/InstructionFetchUnit1/32ff/flipflop7/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 128 0 0] firstTransition 130 firstJunction 129 viewObj 127 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 131 chartFileNumber 17 disableImplicitCasting 1 eml { name "int2boo" } } state { id 128 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 127 treeNode [127 0 0 0] superState SUBCHART subviewer 127 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 129 position [23.5747 49.5747 7] chart 127 linkNode [127 0 0] subviewer 127 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 130 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 129 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 127 linkNode [127 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 127 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 131 ssIdNumber 4 name "in" linkNode [127 0 132] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 132 ssIdNumber 5 name "boo" linkNode [127 131 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 133 name "DataFlow/InstructionFetchUnit1/32ff/flipflop7/int2boo1" machine 1 chart 127 } chart { id 134 name "DataFlow/InstructionFetchUnit1/32ff/flipflop10/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 135 0 0] firstTransition 137 firstJunction 136 viewObj 134 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 138 chartFileNumber 18 disableImplicitCasting 1 eml { name "int2boo" } } state { id 135 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 134 treeNode [134 0 0 0] superState SUBCHART subviewer 134 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 136 position [23.5747 49.5747 7] chart 134 linkNode [134 0 0] subviewer 134 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 137 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 136 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 134 linkNode [134 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 134 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 138 ssIdNumber 4 name "in" linkNode [134 0 139] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 139 ssIdNumber 5 name "boo" linkNode [134 138 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 140 name "DataFlow/InstructionFetchUnit1/32ff/flipflop10/int2boo1" machine 1 chart 134 } chart { id 141 name "DataFlow/InstructionFetchUnit1/32ff/flipflop11/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 142 0 0] firstTransition 144 firstJunction 143 viewObj 141 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 145 chartFileNumber 19 disableImplicitCasting 1 eml { name "int2boo" } } state { id 142 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 141 treeNode [141 0 0 0] superState SUBCHART subviewer 141 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 143 position [23.5747 49.5747 7] chart 141 linkNode [141 0 0] subviewer 141 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 144 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 143 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 141 linkNode [141 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 141 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 145 ssIdNumber 4 name "in" linkNode [141 0 146] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 146 ssIdNumber 5 name "boo" linkNode [141 145 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 147 name "DataFlow/InstructionFetchUnit1/32ff/flipflop11/int2boo1" machine 1 chart 141 } chart { id 148 name "DataFlow/Execute/mux1" windowPosition [452.039 218.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 149 0 0] firstTransition 151 firstJunction 150 viewObj 148 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 152 chartFileNumber 20 disableImplicitCasting 1 eml { name "mux2" } } state { id 149 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 148 treeNode [148 0 0 0] superState SUBCHART subviewer 148 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function out = mux2(in0,in1,control)\nif control\n out = in1;\nelse\n out = in0;\nend\n" editorLayout "100 M4x1[268 188 852 701]" } } junction { id 150 position [23.5747 49.5747 7] chart 148 linkNode [148 0 0] subviewer 148 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 151 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 150 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 148 linkNode [148 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 148 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 152 ssIdNumber 4 name "in0" linkNode [148 0 153] scope INPUT_DATA machine 1 props { array { size "32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 153 ssIdNumber 8 name "in1" linkNode [148 152 154] scope INPUT_DATA machine 1 props { array { size "32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 154 ssIdNumber 9 name "control" linkNode [148 153 155] scope INPUT_DATA machine 1 props { array { size "1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 155 ssIdNumber 5 name "out" linkNode [148 154 0] scope OUTPUT_DATA machine 1 props { array { size "32" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 156 name "DataFlow/Execute/mux1" machine 1 chart 148 } chart { id 157 name "DataFlow/InstructionFetchUnit1/32ff/flipflop12/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 158 0 0] firstTransition 160 firstJunction 159 viewObj 157 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 161 chartFileNumber 21 disableImplicitCasting 1 eml { name "int2boo" } } state { id 158 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 157 treeNode [157 0 0 0] superState SUBCHART subviewer 157 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 159 position [23.5747 49.5747 7] chart 157 linkNode [157 0 0] subviewer 157 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 160 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 159 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 157 linkNode [157 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 157 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 161 ssIdNumber 4 name "in" linkNode [157 0 162] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 162 ssIdNumber 5 name "boo" linkNode [157 161 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 163 name "DataFlow/InstructionFetchUnit1/32ff/flipflop12/int2boo1" machine 1 chart 157 } chart { id 164 name "Control" windowPosition [407.039 263.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 165 0 0] firstTransition 167 firstJunction 166 viewObj 164 machine 1 ssIdHighWaterMark 37 decomposition CLUSTER_CHART type EML_CHART firstData 168 chartFileNumber 22 disableImplicitCasting 1 eml { name "Control" } } state { id 165 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 164 treeNode [164 0 0 0] superState SUBCHART subviewer 164 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function [RegWr,RegDest,ALUCtrl,ALUSrc] = Control(instr)\n\nOpIns = instr(1:6);\nFunctIns = instr(27:32);\nOp = 0;\nFunct = 0;\nfor n = 1:6\n Op = Op + OpIns(n)*2^(6-n);\n Funct = Funct + FunctIns(n)*2^(6-n);\nend\nALUCtrl = [false;false]; RegDest = false; ALUSrc = false; RegWr = false;\n\nif Op == 0\n if Funct == 32 %add\n ALUCtrl = [false;false]; RegDest = true; ALUSrc = false; RegWr = true;\n end\nelseif Op == 14 %xori\n ALUCtrl = [true;false]; RegDest = false; ALUSrc = true; RegWr = true;\nend\n " editorLayout "100 M4x1[61 69 852 701]" } } junction { id 166 position [23.5747 49.5747 7] chart 164 linkNode [164 0 0] subviewer 164 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 167 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 166 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 164 linkNode [164 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 164 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 168 ssIdNumber 4 name "instr" linkNode [164 0 169] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 169 ssIdNumber 24 name "RegWr" linkNode [164 168 170] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } data { id 170 ssIdNumber 25 name "RegDest" linkNode [164 169 171] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } data { id 171 ssIdNumber 27 name "ALUCtrl" linkNode [164 170 172] scope OUTPUT_DATA machine 1 props { array { size "2" } type { primitive SF_BOOLEAN_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } data { id 172 ssIdNumber 28 name "ALUSrc" linkNode [164 171 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 173 name "Control" machine 1 chart 164 } chart { id 174 name "DataFlow/InstructionFetchUnit1/32ff/flipflop13/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 175 0 0] firstTransition 177 firstJunction 176 viewObj 174 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 178 chartFileNumber 23 disableImplicitCasting 1 eml { name "int2boo" } } state { id 175 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 174 treeNode [174 0 0 0] superState SUBCHART subviewer 174 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 176 position [23.5747 49.5747 7] chart 174 linkNode [174 0 0] subviewer 174 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 177 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 176 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 174 linkNode [174 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 174 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 178 ssIdNumber 4 name "in" linkNode [174 0 179] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 179 ssIdNumber 5 name "boo" linkNode [174 178 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 180 name "DataFlow/InstructionFetchUnit1/32ff/flipflop13/int2boo1" machine 1 chart 174 } chart { id 181 name "DataFlow/InstructionFetchUnit1/InstructionMemory" windowPosition [392.039 278.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 182 0 0] firstTransition 184 firstJunction 183 viewObj 181 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 185 chartFileNumber 24 disableImplicitCasting 1 eml { name "InstrMem" } } state { id 182 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 181 treeNode [181 0 0 0] superState SUBCHART subviewer 181 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function instrout = InstrMem(Addr,instrfile)\n s = size(instrfile);\n naddr = 0;\n for n = 1:30\n naddr = naddr + Addr(n)*2^(n-1);\n end\n if naddr <= s(2) && naddr > 0\n instrout = instrfile(:,naddr);\n else\n instrout = instrfile(:,s(2));\n end" editorLayout "100 M4x1[268 188 852 701]" } } junction { id 183 position [23.5747 49.5747 7] chart 181 linkNode [181 0 0] subviewer 181 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 184 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 183 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 181 linkNode [181 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 181 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 185 ssIdNumber 4 name "Addr" linkNode [181 0 186] scope INPUT_DATA machine 1 props { array { size "30" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 186 ssIdNumber 5 name "instrout" linkNode [181 185 187] scope OUTPUT_DATA machine 1 props { array { size "32" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "double" } data { id 187 ssIdNumber 10 name "instrfile" linkNode [181 186 0] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } instance { id 188 name "DataFlow/InstructionFetchUnit1/InstructionMemory" machine 1 chart 181 } chart { id 189 name "DataFlow/InstructionFetchUnit1/ALU" windowPosition [422.039 248.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 190 0 0] firstTransition 192 firstJunction 191 viewObj 189 machine 1 ssIdHighWaterMark 21 decomposition CLUSTER_CHART type EML_CHART firstData 193 chartFileNumber 25 disableImplicitCasting 1 eml { name "PCALU" } } state { id 190 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 189 treeNode [189 0 0 0] superState SUBCHART subviewer 189 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function pcsum = PCALU(A,Cin)\n\n\n\n ra = 0; \n % \n for n = 1:30\n ra = ra + A(n)*2^(n-1);\n end\n\n rc = Cin;\n\n sa0 = (ra + rc);\n sa = sa0;\n pcsum = zeros(30,1);\n for n = 1:floor(log2(sa))+1\n pcsum(n) = mod(round(sa),2);\n sa = sa - pcsum(n);\n sa = sa / 2;\n end\n" editorLayout "100 M4x1[268 188 852 701]" } } junction { id 191 position [23.5747 49.5747 7] chart 189 linkNode [189 0 0] subviewer 189 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 192 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 191 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 189 linkNode [189 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 189 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 193 ssIdNumber 16 name "A" linkNode [189 0 194] scope INPUT_DATA machine 1 props { array { size "30" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } data { id 194 ssIdNumber 5 name "pcsum" linkNode [189 193 195] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "double" } data { id 195 ssIdNumber 18 name "Cin" linkNode [189 194 0] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED } dataType "Inherit: Same as Simulink" } instance { id 196 name "DataFlow/InstructionFetchUnit1/ALU" machine 1 chart 189 } chart { id 197 name "DataFlow/InstructionFetchUnit1/32ff/flipflop14/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 198 0 0] firstTransition 200 firstJunction 199 viewObj 197 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 201 chartFileNumber 26 disableImplicitCasting 1 eml { name "int2boo" } } state { id 198 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 197 treeNode [197 0 0 0] superState SUBCHART subviewer 197 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 199 position [23.5747 49.5747 7] chart 197 linkNode [197 0 0] subviewer 197 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 200 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 199 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 197 linkNode [197 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 197 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 201 ssIdNumber 4 name "in" linkNode [197 0 202] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 202 ssIdNumber 5 name "boo" linkNode [197 201 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 203 name "DataFlow/InstructionFetchUnit1/32ff/flipflop14/int2boo1" machine 1 chart 197 } chart { id 204 name "DataFlow/InstructionFetchUnit1/32ff/flipflop15/int2boo1" windowPosition [527.039 143.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 205 0 0] firstTransition 207 firstJunction 206 viewObj 204 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 208 chartFileNumber 27 disableImplicitCasting 1 eml { name "int2boo" } } state { id 205 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 204 treeNode [204 0 0 0] superState SUBCHART subviewer 204 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 206 position [23.5747 49.5747 7] chart 204 linkNode [204 0 0] subviewer 204 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 207 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 206 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 204 linkNode [204 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 204 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 208 ssIdNumber 4 name "in" linkNode [204 0 209] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 209 ssIdNumber 5 name "boo" linkNode [204 208 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 210 name "DataFlow/InstructionFetchUnit1/32ff/flipflop15/int2boo1" machine 1 chart 204 } chart { id 211 name "DataFlow/InstructionFetchUnit1/32ff/flipflop8/int2boo1" windowPosition [482.039 188.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 212 0 0] firstTransition 214 firstJunction 213 viewObj 211 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 215 chartFileNumber 28 disableImplicitCasting 1 eml { name "int2boo" } } state { id 212 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 211 treeNode [211 0 0 0] superState SUBCHART subviewer 211 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 213 position [23.5747 49.5747 7] chart 211 linkNode [211 0 0] subviewer 211 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 214 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 213 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 211 linkNode [211 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 211 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 215 ssIdNumber 4 name "in" linkNode [211 0 216] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 216 ssIdNumber 5 name "boo" linkNode [211 215 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 217 name "DataFlow/InstructionFetchUnit1/32ff/flipflop8/int2boo1" machine 1 chart 211 } chart { id 218 name "DataFlow/InstructionFetchUnit1/32ff/flipflop9/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 219 0 0] firstTransition 221 firstJunction 220 viewObj 218 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 222 chartFileNumber 29 disableImplicitCasting 1 eml { name "int2boo" } } state { id 219 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 218 treeNode [218 0 0 0] superState SUBCHART subviewer 218 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 220 position [23.5747 49.5747 7] chart 218 linkNode [218 0 0] subviewer 218 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 221 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 220 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 218 linkNode [218 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 218 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 222 ssIdNumber 4 name "in" linkNode [218 0 223] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 223 ssIdNumber 5 name "boo" linkNode [218 222 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 224 name "DataFlow/InstructionFetchUnit1/32ff/flipflop9/int2boo1" machine 1 chart 218 } chart { id 225 name "DataFlow/InstructionFetchUnit1/32ff/flipflop16/int2boo1" windowPosition [482.039 188.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 226 0 0] firstTransition 228 firstJunction 227 viewObj 225 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 229 chartFileNumber 30 disableImplicitCasting 1 eml { name "int2boo" } } state { id 226 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 225 treeNode [225 0 0 0] superState SUBCHART subviewer 225 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 227 position [23.5747 49.5747 7] chart 225 linkNode [225 0 0] subviewer 225 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 228 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 227 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 225 linkNode [225 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 225 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 229 ssIdNumber 4 name "in" linkNode [225 0 230] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 230 ssIdNumber 5 name "boo" linkNode [225 229 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 231 name "DataFlow/InstructionFetchUnit1/32ff/flipflop16/int2boo1" machine 1 chart 225 } chart { id 232 name "DataFlow/InstructionFetchUnit1/32ff/flipflop17/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 233 0 0] firstTransition 235 firstJunction 234 viewObj 232 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 236 chartFileNumber 31 disableImplicitCasting 1 eml { name "int2boo" } } state { id 233 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 232 treeNode [232 0 0 0] superState SUBCHART subviewer 232 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 234 position [23.5747 49.5747 7] chart 232 linkNode [232 0 0] subviewer 232 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 235 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 234 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 232 linkNode [232 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 232 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 236 ssIdNumber 4 name "in" linkNode [232 0 237] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 237 ssIdNumber 5 name "boo" linkNode [232 236 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 238 name "DataFlow/InstructionFetchUnit1/32ff/flipflop17/int2boo1" machine 1 chart 232 } chart { id 239 name "DataFlow/InstructionFetchUnit1/32ff/flipflop18/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 240 0 0] firstTransition 242 firstJunction 241 viewObj 239 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 243 chartFileNumber 32 disableImplicitCasting 1 eml { name "int2boo" } } state { id 240 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 239 treeNode [239 0 0 0] superState SUBCHART subviewer 239 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 241 position [23.5747 49.5747 7] chart 239 linkNode [239 0 0] subviewer 239 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 242 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 241 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 239 linkNode [239 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 239 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 243 ssIdNumber 4 name "in" linkNode [239 0 244] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 244 ssIdNumber 5 name "boo" linkNode [239 243 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 245 name "DataFlow/InstructionFetchUnit1/32ff/flipflop18/int2boo1" machine 1 chart 239 } chart { id 246 name "DataFlow/InstructionFetchUnit1/32ff/flipflop19/int2boo1" windowPosition [527.039 143.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 247 0 0] firstTransition 249 firstJunction 248 viewObj 246 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 250 chartFileNumber 33 disableImplicitCasting 1 eml { name "int2boo" } } state { id 247 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 246 treeNode [246 0 0 0] superState SUBCHART subviewer 246 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 248 position [23.5747 49.5747 7] chart 246 linkNode [246 0 0] subviewer 246 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 249 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 248 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 246 linkNode [246 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 246 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 250 ssIdNumber 4 name "in" linkNode [246 0 251] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 251 ssIdNumber 5 name "boo" linkNode [246 250 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 252 name "DataFlow/InstructionFetchUnit1/32ff/flipflop19/int2boo1" machine 1 chart 246 } chart { id 253 name "DataFlow/InstructionFetchUnit1/32ff/flipflop20/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 254 0 0] firstTransition 256 firstJunction 255 viewObj 253 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 257 chartFileNumber 34 disableImplicitCasting 1 eml { name "int2boo" } } state { id 254 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 253 treeNode [253 0 0 0] superState SUBCHART subviewer 253 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 255 position [23.5747 49.5747 7] chart 253 linkNode [253 0 0] subviewer 253 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 256 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 255 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 253 linkNode [253 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 253 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 257 ssIdNumber 4 name "in" linkNode [253 0 258] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 258 ssIdNumber 5 name "boo" linkNode [253 257 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 259 name "DataFlow/InstructionFetchUnit1/32ff/flipflop20/int2boo1" machine 1 chart 253 } chart { id 260 name "DataFlow/InstructionFetchUnit1/32ff/flipflop21/int2boo1" windowPosition [527.039 143.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 261 0 0] firstTransition 263 firstJunction 262 viewObj 260 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 264 chartFileNumber 35 disableImplicitCasting 1 eml { name "int2boo" } } state { id 261 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 260 treeNode [260 0 0 0] superState SUBCHART subviewer 260 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 262 position [23.5747 49.5747 7] chart 260 linkNode [260 0 0] subviewer 260 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 263 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 262 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 260 linkNode [260 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 260 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 264 ssIdNumber 4 name "in" linkNode [260 0 265] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 265 ssIdNumber 5 name "boo" linkNode [260 264 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 266 name "DataFlow/InstructionFetchUnit1/32ff/flipflop21/int2boo1" machine 1 chart 260 } chart { id 267 name "DataFlow/WriteReg/seperate1" windowPosition [467.039 203.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 268 0 0] firstTransition 270 firstJunction 269 viewObj 267 machine 1 ssIdHighWaterMark 25 decomposition CLUSTER_CHART type EML_CHART firstData 271 chartFileNumber 36 disableImplicitCasting 1 eml { name "seperate" } } state { id 268 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 267 treeNode [267 0 0 0] superState SUBCHART subviewer 267 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function [rt,rd] = seperate(inst)\n rt = inst(12:16);\n rd = inst(17:21);" editorLayout "100 M4x1[388 289 852 701]" } } junction { id 269 position [23.5747 49.5747 7] chart 267 linkNode [267 0 0] subviewer 267 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 270 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 269 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 267 linkNode [267 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 267 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 271 ssIdNumber 4 name "inst" linkNode [267 0 272] scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "Inherit: Same as Simulink" } data { id 272 ssIdNumber 5 name "rt" linkNode [267 271 273] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } data { id 273 ssIdNumber 19 name "rd" linkNode [267 272 0] scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "Inherit: Same as Simulink" } instance { id 274 name "DataFlow/WriteReg/seperate1" machine 1 chart 267 } chart { id 275 name "DataFlow/InstructionFetchUnit1/32ff/flipflop24/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 276 0 0] firstTransition 278 firstJunction 277 viewObj 275 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 279 chartFileNumber 38 disableImplicitCasting 1 eml { name "int2boo" } } state { id 276 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 275 treeNode [275 0 0 0] superState SUBCHART subviewer 275 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 277 position [23.5747 49.5747 7] chart 275 linkNode [275 0 0] subviewer 275 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 278 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 277 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 275 linkNode [275 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 275 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 279 ssIdNumber 4 name "in" linkNode [275 0 280] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 280 ssIdNumber 5 name "boo" linkNode [275 279 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 281 name "DataFlow/InstructionFetchUnit1/32ff/flipflop24/int2boo1" machine 1 chart 275 } chart { id 282 name "DataFlow/InstructionFetchUnit1/32ff/flipflop25/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 283 0 0] firstTransition 285 firstJunction 284 viewObj 282 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 286 chartFileNumber 39 disableImplicitCasting 1 eml { name "int2boo" } } state { id 283 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 282 treeNode [282 0 0 0] superState SUBCHART subviewer 282 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 284 position [23.5747 49.5747 7] chart 282 linkNode [282 0 0] subviewer 282 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 285 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 284 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 282 linkNode [282 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 282 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 286 ssIdNumber 4 name "in" linkNode [282 0 287] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 287 ssIdNumber 5 name "boo" linkNode [282 286 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 288 name "DataFlow/InstructionFetchUnit1/32ff/flipflop25/int2boo1" machine 1 chart 282 } chart { id 289 name "DataFlow/InstructionFetchUnit1/32ff/flipflop26/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 290 0 0] firstTransition 292 firstJunction 291 viewObj 289 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 293 chartFileNumber 40 disableImplicitCasting 1 eml { name "int2boo" } } state { id 290 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 289 treeNode [289 0 0 0] superState SUBCHART subviewer 289 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 291 position [23.5747 49.5747 7] chart 289 linkNode [289 0 0] subviewer 289 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 292 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 291 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 289 linkNode [289 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 289 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 293 ssIdNumber 4 name "in" linkNode [289 0 294] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 294 ssIdNumber 5 name "boo" linkNode [289 293 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 295 name "DataFlow/InstructionFetchUnit1/32ff/flipflop26/int2boo1" machine 1 chart 289 } chart { id 296 name "DataFlow/InstructionFetchUnit1/32ff/flipflop27/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 297 0 0] firstTransition 299 firstJunction 298 viewObj 296 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 300 chartFileNumber 41 disableImplicitCasting 1 eml { name "int2boo" } } state { id 297 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 296 treeNode [296 0 0 0] superState SUBCHART subviewer 296 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 298 position [23.5747 49.5747 7] chart 296 linkNode [296 0 0] subviewer 296 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 299 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 298 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 296 linkNode [296 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 296 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 300 ssIdNumber 4 name "in" linkNode [296 0 301] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 301 ssIdNumber 5 name "boo" linkNode [296 300 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 302 name "DataFlow/InstructionFetchUnit1/32ff/flipflop27/int2boo1" machine 1 chart 296 } chart { id 303 name "DataFlow/InstructionFetchUnit1/32ff/flipflop28/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 304 0 0] firstTransition 306 firstJunction 305 viewObj 303 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 307 chartFileNumber 42 disableImplicitCasting 1 eml { name "int2boo" } } state { id 304 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 303 treeNode [303 0 0 0] superState SUBCHART subviewer 303 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 305 position [23.5747 49.5747 7] chart 303 linkNode [303 0 0] subviewer 303 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 306 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 305 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 303 linkNode [303 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 303 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 307 ssIdNumber 4 name "in" linkNode [303 0 308] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 308 ssIdNumber 5 name "boo" linkNode [303 307 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 309 name "DataFlow/InstructionFetchUnit1/32ff/flipflop28/int2boo1" machine 1 chart 303 } chart { id 310 name "DataFlow/InstructionFetchUnit1/32ff/flipflop29/int2boo1" windowPosition [527.039 143.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 311 0 0] firstTransition 313 firstJunction 312 viewObj 310 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 314 chartFileNumber 43 disableImplicitCasting 1 eml { name "int2boo" } } state { id 311 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 310 treeNode [310 0 0 0] superState SUBCHART subviewer 310 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 312 position [23.5747 49.5747 7] chart 310 linkNode [310 0 0] subviewer 310 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 313 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 312 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 310 linkNode [310 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 310 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 314 ssIdNumber 4 name "in" linkNode [310 0 315] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 315 ssIdNumber 5 name "boo" linkNode [310 314 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 316 name "DataFlow/InstructionFetchUnit1/32ff/flipflop29/int2boo1" machine 1 chart 310 } chart { id 317 name "DataFlow/InstructionFetchUnit1/32ff/flipflop30/int2boo1" windowPosition [497.039 173.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 318 0 0] firstTransition 320 firstJunction 319 viewObj 317 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 321 chartFileNumber 44 disableImplicitCasting 1 eml { name "int2boo" } } state { id 318 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 317 treeNode [317 0 0 0] superState SUBCHART subviewer 317 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 319 position [23.5747 49.5747 7] chart 317 linkNode [317 0 0] subviewer 317 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 320 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 319 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 317 linkNode [317 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 317 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 321 ssIdNumber 4 name "in" linkNode [317 0 322] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 322 ssIdNumber 5 name "boo" linkNode [317 321 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 323 name "DataFlow/InstructionFetchUnit1/32ff/flipflop30/int2boo1" machine 1 chart 317 } chart { id 324 name "DataFlow/InstructionFetchUnit1/32ff/flipflop31/int2boo1" windowPosition [512.039 158.766 200.25 189.75] viewLimits [0 156.75 0 153.75] screen [1 1 1440 900 1.333333333333333] treeNode [0 325 0 0] firstTransition 327 firstJunction 326 viewObj 324 machine 1 ssIdHighWaterMark 12 decomposition CLUSTER_CHART type EML_CHART firstData 328 chartFileNumber 45 disableImplicitCasting 1 eml { name "int2boo" } } state { id 325 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 324 treeNode [324 0 0 0] superState SUBCHART subviewer 324 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function boo = int2boo(in)\n\n boo = all(in);" editorLayout "100 M4x1[296 106 816 454]" } } junction { id 326 position [23.5747 49.5747 7] chart 324 linkNode [324 0 0] subviewer 324 ssIdNumber 3 type CONNECTIVE_JUNCTION } transition { id 327 labelString "{eML_blk_kernel();}" labelPosition [32.125 19.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 326 intersection [7 0 -1 -1 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 324 linkNode [324 0 0] dataLimits [23.575 23.575 14.625 34.575] subviewer 324 drawStyle SMART executionOrder 1 ssIdNumber 2 } data { id 328 ssIdNumber 4 name "in" linkNode [324 0 329] scope INPUT_DATA machine 1 props { array { size "1" } type { primitive SF_DOUBLE_TYPE } complexity SF_COMPLEX_INHERITED } dataType "double" } data { id 329 ssIdNumber 5 name "boo" linkNode [324 328 0] scope OUTPUT_DATA machine 1 props { array { size "1" } type { primitive SF_BOOLEAN_TYPE } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO } dataType "boolean" } instance { id 330 name "DataFlow/InstructionFetchUnit1/32ff/flipflop31/int2boo1" machine 1 chart 324 } target { id 331 name "sfun" description "Default Simulink S-Function Target." machine 1 linkNode [1 0 0] } }